• 您好,歡迎進入安科瑞電氣股份有限公司網(wǎng)站!
        一鍵分享網(wǎng)站到:
        安全用電云平臺
        您現(xiàn)在的位置:首頁 >> 產(chǎn)品中心 >> 電量傳感器 >> BD系列電力變送器 >> BD100-DV/I-C12測量直流電壓兩路獨立輸出一路通訊變送器

        測量直流電壓兩路獨立輸出一路通訊變送器

        • 更新時間:  2024-10-21
        • 產(chǎn)品型號:  BD100-DV/I-C12
        • 簡單描述
        • BD 系列測量直流電壓兩路獨立輸出一路通訊變送器是一種將電網(wǎng)中的電流、電壓、頻率、功率、功率因數(shù)等電參量,經(jīng)隔離變送成線性的直流模擬信號或數(shù)字信號裝置。
        詳細介紹

        測量直流電壓兩路獨立輸出一路通訊變送器型號規(guī)格說明:

        測量直流電壓兩路獨立輸出一路通訊變送器技術(shù)參數(shù):

        外形尺寸及安裝方式說明:

        常見故障問題分析:

        638219158705307444389.png

        錯誤檢測的方法

        錯誤校驗(CRC)域占用兩個字節(jié),包含了一個 16 位的二進制值。CRC 值由傳輸設(shè)備計算出來,然后附加到數(shù)據(jù)幀上,接收設(shè)備在接收數(shù)據(jù)時重新計算 CRC 值,然后與接收到的 CRC 域中的值進行比較,如果這兩個值不相等,就發(fā)生了錯誤。

        CRC 運算時,首先將一個16 位的寄存器預置為全1,然后連續(xù)把數(shù)據(jù)幀中的每個字節(jié)中的 8 位與該寄存 器的當前值進行運算,僅僅每個字節(jié)的8 個數(shù)據(jù)位參與生成 CRC,起始位和終止位以及可能使用的奇偶位都不影響CRC。在生成 CRC 時,每個字節(jié)的8 位與寄存器中的內(nèi)容進行異或,然后將結(jié)果向低位移位,高位則用“0"補充,低位(LSB)移出并檢測,如果是1,該寄存器就與一個預設(shè)的固定值(0A001H)進行一次異或運算,如果低位為 0,不作任何處理。

        上述處理重復進行,直到執(zhí)行完了8 次移位操作,當最后一位(第 8 位)移完以后,下一個8 位字節(jié)與寄存器的當前值進行異或運算,同樣進行上述的另一個8 次移位異或操作,當數(shù)據(jù)幀中的所有字節(jié)都作了處理,生成的最終值就是 CRC 值。




        留言框

        • 產(chǎn)品:

        • 您的單位:

        • 您的姓名:

        • 聯(lián)系電話:

        • 常用郵箱:

        • 省份:

        • 詳細地址:

        • 補充說明:

        • 驗證碼:

          請輸入計算結(jié)果(填寫阿拉伯數(shù)字),如:三加四=7
        主站蜘蛛池模板: 国产孕妇孕交视频| 手机在线观看你懂的| 亚洲色图五月天| 美女黄频视频大全免费的| 国产成人涩涩涩视频在线观看 | 久久综合精品国产二区无码| 欧美精品九九99久久在免费线| 免费视频88av在线| 色哟哟免费在线观看| 国产大片黄在线观看| xxxx日本免费| 国产高清视频一区三区| smesmuu的中文意思| 成年人黄色一级片| 久久人人爽人人爽人人av东京热| 欧洲精品99毛片免费高清观看| 亚洲欧美日韩精品久久久| 男女啪啪进出阳道猛进| 午夜成人免费视频| 色综合久久中文字幕综合网| 国产成人av一区二区三区不卡| 一进一出动态图| 国产黄色片在线观看| mm1313亚洲国产精品无码试看 | 亚洲乱码一二三四区麻豆| 水蜜桃亚洲一二三四在线| 免费国产一级特黄久久| 绿帽子巨物夺娇妻09| 国产一级三级三级在线视| 高h全肉动漫在线观看最新| 国产极品麻豆91在线| 污片在线观看网站| 国产精品视频免费一区二区三区| 99在线视频网站| 天堂一区二区三区在线观看| 一二三四社区在线高清观看在线| 我被黑人巨大开嫩苞在线观看| 久久久受www免费人成| 日韩伦理电影在线免费观看| 亚洲AV无码AV制服另类专区| 欧美另类videovideosex|